查看: 853|回复: 0

明德扬综合项目实践课——边缘检测工程专题课问题汇总

[复制链接]
  • TA的每日心情
    开心
    2023-1-4 10:25
  • 签到天数: 6 天

    连续签到: 1 天

    [LV.2]偶尔看看I

    发表于 2022-4-13 08:36:13 | 显示全部楼层 |阅读模式
    分享到:
      《边缘检测工程》是图像处理必修课程,从课程中学习FPGA模块划分,实现了图像的实时采集、边缘检测算法、存储控制以及与串口等功能,涉及到了比较全面的知识与算法。以下是同学们平时学习中常遇到的问题汇总,如果同学们的问题我们帖子里面没有的请第一时间联系我,我们对新问题进行补充!更多问题解疑可以点击链接查看:http://www.mdy-edu.com/fpgake/2021/0410/1279.html


    【问题1】点拨开发板,下载工程检测工程后,没有反应。
    答:注意:下载后,需要按下矩阵键盘的按键0(即左上角第一个按键),才能显示!!!


    【问题2】点拨开发板可以显示摄像头拍摄过来的图片吗?
    答:由于点拨开发板的资源不足,所以无法显示摄像头的图片,如要显示,建议购买MP801开发板。


    【问题3】PCLK的时钟频率问题
    答:FPGA提供XCLK给摄像头,摄像头利用XCLK产生PCLK。所以PCLK来源于XCLK,所以XCLK是多少,PCLK就是多少。也就是说PCLK和XCLK频率大小一样,只是说可能不同相


    【问题4】是否不使用PLL,而是用PCLK来作为采集模块的时钟?
    答:PCLK经过插件连到FPGA,这其中可能会不稳定。为解决此问题,将PCLK连到PLL,产生一个同频同相的时钟,使用这个时钟作为采集模块的工作时钟。这样,即使PCLK缺少或者不稳定,但产生的时钟也会保持稳定。



    【问题5】摄像头寄存器配置模块中,配置的是04对应RGB,但是数据手册中RGB应该是01,这是为什么?
    答:这里的Bayer RAW本质上是RAW RGB格式,也是RGB格式。
    RAW RGB:在摄像头里没有经过任何处理的数据。
    RGB:在摄像头里经过了ISP等算法处理的数据。
    如果想要最原始的数据,就使用RAW格式;如果要摄像头先美化一下,就使用RGB格式。想要哪种格式,取决于您的需求。



    【问题6】边缘检测寄存器是怎么样配置的呢?
    答:边缘检测中,ov7670_cfg模块和sccb模块,就是用来配置的,可以参考


    【问题7】边缘检查课程案例OV接口是用MIMP还是DVP的?
    答:OV7670是标准的SCCB接口,兼容IIC接口



    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-29 08:42 , Processed in 0.100521 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.