加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入

HC-SR04超声模块示例代码/原理图/说明书等全套资料

2017/08/10
441
服务支持:
技术交流群

完成交易后在“购买成功”页面扫码入群,即可与技术大咖们分享疑惑和经验、收获成长和认同、领取优惠和红包等。

虚拟商品不可退

当前内容为数字版权作品,购买后不支持退换且无法转移使用。

加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论
放大
方块图(2)
  • 方案介绍
  • 相关文件
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

本设计分享的是HC-SR04超声模块实例代码/原理图/说明书等全套资料。HC-SR04超声波测距模块可提供2cm-400cm的非接触式距离感测功能,测距精度可达高到3mm;该HC-SR04超声模块包括超声波发射器接收器控制电路。本模块性能稳定,测度距离精确。能和国外的SRF05,SRF02等超声波测距模块相媲美。模块高精度,盲区(2cm)超近,稳定的测距是此产品成功走向市场的有力根据!此模块完全谦容GH-311防盗模块。HC-SR04超声模块实物截图:

HC-SR04超声模块主要技术参数:

  • 1:使用电压:DC5V            
  • 2:静态电流:小于2mA
  • 3:电平输出:高5V             
  • 4:电平输出:底0V
  • 5:感应角度:不大于15度  
  •  6:探测距离:2cm-450cm
  • 7:高精度:可达0.3cm

板上接线方式,VCC、trig(控制端)、 echo(接收端)、 out(空脚)、 GND

注: TRIP引脚是内部上拉10K的电阻,用单片机的IO口拉低TRIP引脚,然后给一个10us以上的脉冲信号。OUT脚为此模块作为防盗模块时的开关量输出脚,测距模块不用此脚!

注意:模块应先插好在电路板上再通电,避免产生高电平的误动作,如果产生了,重新通电方可解决。本模块可提供测距程序:C51,PIC18F877,义龙单片机,三种MCU的测试参考

HC-SR04超声模块示例代码/原理图/说明书截图:

  • HC-SR04超声波_原理图.pdf
    描述:HC-SR04超声波_原理图
  • HC-SR04超生模块示例代码.zip
    描述:示例代码
  • HC-SR04超声波测距模块说明书.pdf
    描述:说明书
  • 超声波模块参考.pdf
    描述:超声波模块参考

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
PE42641MLBD-Z 1 Peregrine Semiconductor Corp SP4T,

ECAD模型

下载ECAD模型
$5.28 查看
MGA-545P8-TR2 1 Broadcom Limited Wide Band Medium Power Amplifier, 50MHz Min, 7000MHz Max, 1 Func, GAAS, 2 X 2 MM, 0.75 MM HEIGHT, LPCC-8
暂无数据 查看
APAE1590R2540AKDB1-T 1 Abracon Corporation GPS/GLONASS PATCH ANT ADH PIN

ECAD模型

下载ECAD模型
$2.65 查看

相关推荐

电子产业图谱