查看: 17850|回复: 53

【新书赠送】《兼容ARM9的软核处理器设计:基于FPGA》李新兵

  [复制链接]
  • TA的每日心情
    奋斗
    2020-9-28 10:10
  • 签到天数: 1018 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2012-9-7 13:54:14 | 显示全部楼层 |阅读模式
    分享到:
    本帖最后由 xinxincaijq 于 2012-9-10 14:12 编辑

    现有一本未开封新书《兼容ARM9的软核处理器设计:基于FPGA》赠送给需要的爱板网友,最好是在学习或者研究FPGA的学生或者工程师哦,需要的跟帖表达意愿吧,哈哈!
    -----------------------------------------------------------------------------------------------------------------------------------------------------
    此书赠送给7#&9#的zhiqiuyiye504同学,希望能好好利用此书,完成项目,与大家分享哦!
    -----------------------------------------------------------------------------------------------------------------------------------------------------

    DSC04556.JPG DSC04557.JPG

    编辑推荐
    国内首本从Verilog设计与实现角度全方位剖析ARM架构的经典著作
    仅用1800行代码勾勒出ARM9的核心部分,并结合FPGA运行uClinux操作系统
    既有ARM内核实现的分析,又有开发工具链的实战指导,极具可操作性

    内容简介
    本书介绍如何使用硬件描述语言Verilog进行FPGA设计。通过阅读本书,读者可以自行设计一个32位的RISC架构处理器—兼容市面上流行的ARM9微处理器。本书不仅详细介绍了Verilog HDL的语法,而且独具匠心地介绍了如何使用这种精简的语言进行成熟作品的设计。这也是本书相对于其他类似图书的首次尝试。本书以Verilog RTL设计为核心,从第1章建立Verilog RTL设计模型开始,到最后一章能够对Linux操作系统进行仿真。读者通过本书可以切实掌握基于ARM9的数字电路设计流程,并能够利用成熟的MCU软件设计工具生成BIN文件,通过BIN文件和一个只有1800行的兼容ARM9处理器内核,快速完成FPGA设计。
      本书理论结合实际,图文并茂,由浅入深地介绍了如何使用Verilog语言进行FPGA设计,可作为机电控制、信息家电、工业控制、手持仪器、医疗器械、机器人技术等方面FPGA与嵌入式系统开发与应用参考书,适合对FPGA与嵌入式系统开发感兴趣的读者以及从事ARM嵌入式系统应用开发工程师阅读。

    目录
    前言
    第1章 数字电路设计模型
      1.1 最初的模型——带有输入输出的模块
      1.2 组合逻辑
      1.3 时序逻辑
      1.4 同步电路
      1.5 同步电路时序路径
      1.6 RTL描述
      1.7 综合生成电路
      结束语
    第2章 Verilog RTL编程
      2.1 Verilog语言与RTL描述
      2.2 Verilog描述语句对应电路
      2.3 如何进行RTL设计
      2.4 RTL设计要点
      2.5 UART串口通信设计实例
      结束语
    第3章 Modelsim仿真
      3.1 仿真的意义
      3.2 testbench文件
      3.3 Modelsim仿真工具
      3.4 UART串口仿真实例
      结束语
    第4章 FPGA开发板原型验证
      4.1 FPGA内部结构
      4.2 FPGA开发板
      4.3 FPGA设计开发流程
      4.4 FPGA设计内部单元
      4.5 UART设计在Altera FPGA的下载执行
      4.6 UART设计在Xilinx FPGA的下载执行
      结束语
    第5章 ARM9微处理器编程模型
      5.1 ARM公司历史
      5.2 ARM处理器架构
      5.3 微处理器基本模型
      5.4 ARMv4架构模式
      5.5 ARMv4架构内部寄存器
      5.6 ARMv4架构的异常中断
      5.7 ARMv4架构支持的ARM指令集
      5.8 ARM指令与中断分析
      结束语
    第6章 兼容ARM9微处理器Verilog RTL设计
      6.1 确定RTL设计的输入输出端口
      6.2 经典的三级流水线架构
      6.3 经典的五级流水线架构
      6.4 三级流水线改进架构
      6.5 适于兼容ARM9微处理器的三级架构
      6.6 影响流水线架构执行的四种状况
      6.7 第一级:取指阶段的Verilog RTL实现
      6.8 第二级:乘法运算阶段的Verilog RTL实现
      6.9 第三级:加法运算阶段的Verilog RTL实现
      6.10 寄存器组的写入
      6.11 CPSR/SPSR的写入
      6.12 数据池的读写
      6.13 第四级:读操作数据的回写
      结束语
    第7章 Hello World——兼容ARM9处理器内核运行的第一个程序
      7.1 基于FPGA的SoC设计流程
      7.2 使用RealView MDK编译Hello World程序
      7.3 Modelsim仿真输出Hello World
      7.4 建立Hello World的FPGA设计工程
      结束语
    第8章 Dhrystone Benchmark——兼容ARM9处理器内核性能测试
      8.1 Dhrystone 2.1介绍
      8.2 移植Dhrystone 2.1进行编译
      8.3 使用Modelsim仿真运行Dhrystone Benchmark
      8.4 在线可编程的FPGA SoC设计工程
      8.5 Dhrystone Benchmark在开发板中运行
      结束语
    第9章 uClinux仿真——结合SkyEye,启动不带MMU的操作系统
      9.1 ARM7TDMI-S处理器内核
      9.2 以ARM7TDMI为核心的单片机
      9.3 uClinux嵌入式操作系统
      9.4 SkyEye硬件模拟平台
      9.5 Modelsim下仿真uClinux启动过程
      结束语
    第10章 Linux操作系统仿真——结合mini2440开发板,启动带MMU的操作系统
      10.1 ARM920T处理器内核
      10.2 S3C2440A 32位微控制器
      10.3 mini2440 ARM9开发板
      10.4 NAND Flash仿真模型
      10.5 为兼容ARM9处理器内核增加协处理器指令
      10.6 建立仿真Linux操作系统的testbench
      结束语
    附录A 启动Linux操作系统的全部打印log信息
    附录B 兼容ARM9处理器内核带注释的Verilog RTL代码
    附录C 相关网址  


    回复

    使用道具 举报

  • TA的每日心情
    无聊
    2017-9-11 09:31
  • 签到天数: 155 天

    连续签到: 1 天

    [LV.7]常住居民III

    发表于 2012-9-7 13:58:26 | 显示全部楼层
    不需要  来凑热闹的
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    难过
    2015-7-11 15:23
  • 签到天数: 567 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-7 13:58:38 | 显示全部楼层
    水平不行,写个简单的还行,也没有板子实践,帮顶一下吧
    回复 支持 反对

    使用道具 举报

    该用户从未签到

    发表于 2012-9-7 14:01:16 | 显示全部楼层
    没拆的书哎,好
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2017-6-4 09:20
  • 签到天数: 427 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-7 16:58:05 | 显示全部楼层
    太好啦,正在设计FPGA和MCU的兼容问题呢,希望有机会,研究研究
    回复 支持 反对

    使用道具 举报

  • TA的每日心情

    2015-2-14 09:02
  • 签到天数: 656 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-7 17:56:33 | 显示全部楼层
    我也来申请一下。最近在学习赛灵思的FPGA。手里的工具书是在图书馆借的,还要换回去。需要一本自己的fpga工具书。
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2017-6-4 09:20
  • 签到天数: 427 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-7 20:43:39 | 显示全部楼层
    最近刚入手老师接的一个项目:超声随钻井径检测仪器,相关书籍资料都被研究生领走了,大三的我正需要相关书籍.前段时间刚调通串口的收发程序和AD转换程序,现在正尝试设计IP核,如果通过了接下来就是Flash的读写了,最后就是整体的连接,协调.
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    难过
    2015-7-11 15:23
  • 签到天数: 567 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-8 11:39:05 | 显示全部楼层
    FPGA学的人还是比较少的
    回复 支持 反对

    使用道具 举报

  • TA的每日心情

    2021-12-24 16:56
  • 签到天数: 739 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2012-9-8 15:23:27 | 显示全部楼层
    是基于xilinx还是altera的?如果是其中之一,方便的话,可以借阅给我看看,到时候跟板子一起归还,再借阅给其他人。
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    奋斗
    2020-9-28 10:10
  • 签到天数: 1018 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2012-9-10 08:49:23 | 显示全部楼层
    zhiqiuyiye504 发表于 2012-9-7 20:43
    最近刚入手老师接的一个项目:超声随钻井径检测仪器,相关书籍资料都被研究生领走了,大三的我正需要相关书籍. ...

    学生做项目需要,很不错!可以把做项目的一些经验心得和大家分享哦~~~
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-3-29 13:57 , Processed in 0.194963 second(s), 33 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2020, Tencent Cloud.