查看: 1018|回复: 0

创龙TLZ7x-EasyEVM评估板试用17——Xilinx Vivado最新版(2019.2)...

[复制链接]
  • TA的每日心情
    慵懒
    昨天 09:58
  • 签到天数: 1551 天

    连续签到: 22 天

    [LV.Master]伴坛终老

    发表于 2020-5-29 09:45:03 | 显示全部楼层 |阅读模式
    分享到:
    本帖最后由 fuller 于 2020-5-28 22:24 编辑

    标题为:创龙TLZ7x-EasyEVM评估板试用17——Xilinx Vivado最新版(2019.2)安装

    今天想要学习编写Zynq PS 端的裸机程序,家里的电脑没有装Xilinx SDK ,所以根据参考文档安装的时候顺便分享一下安装过程。

    操作环境: Windows 10 64bit

    Xilinx Vivado 安装文件下载链接: https://www.xilinx.com/support/download.html


    版本.png
    可以看到左上角,最新版本是2019.2.当然,想要安装其他版本可选择其他版本
    往下浏览网页,找到 Vivado Design Suite - HLx Editions - 2019.2
    看到网站发布的重要信息。
    Important Information
    Vivado Design Suite 2019.2 is now available.  
    Introducing UVM 1.2 support in Vivado Simulator(XSIM)
    Improved layer visibility in IP Integrator
    Physical Optimization and other QoR improvement features
    10% reduction in design compilation runtime
    New high bandwidth ICAP IP for enhancing Dynamic Function eXchange
    We strongly recommend to use the web installers as it reduces download time and saves significant disk space.
    Please see Installer Information for details.
    Note: Download verification is only supported with Google Chrome and Microsoft Internet Explorer web browsers.

    选择个人系统及安装方式

    banben.png

    在这之前肯定要有XILINX账户,注册也很简单
    安装方式选择,可根据自身的情况,比如有整夜的下载时间,或者自觉网速快,或者安装多台电脑,可以选择下载后离线安装;而想要减少下载时间或者节省磁盘空间,可以选择在线安装。
    我选择第一个,在线安装,由于受美国出口法规限制,点击之后要输入一些信息,
    其他都好说,有一个state,就是输入一个美国州的邮编,两个字符。
    输入必要的信息之后下载,被限速,速度很慢。
    下载完成得到链接文件 Xilinx_Unified_2019.2_1106_2127_Win64.exe

    bao.png

    双击打开
    shaungji.png shuangjidakai.png

    一些欢迎信息及支持的系统等,点击Next

    huanying.png

    这里又要输入账户和密码,输入之后继续点Next

    zhanghushuru.png

    这里三个地方都要agree

    agree.png

    这里选择第二项,继续Next

    er.png

    这里还是选择第二项,因为我们要安装的是Vivado HL Design Edition,继续Next

    erer.png


    由于安装需要下载的文件太大,可以选择自己需要的下载,这里不知道为啥7 Seriels默认选择,不能改变
    看到左下边,我这样选择需要五十多个G的硬盘,继续Next。

    devicexuanze.png

    选择合适的硬盘安装位置,点击Next,提示文件夹不存在,是否新建,点击Yes。

    heshi.png

    出现下图安装摘要,点击Install 安装即可。

    anzhuangxinxi.png

    下载安装界面,可以看到预计时间,当然了,这个时间是根据实时网速预测的,还是要看整体速度的,接下来就只能等了,明天去公司再下载个离线版,看看哪个快。

    anzhuangle.png



    第二天今天在公司下载了,离线安装包,并且拷贝。
    回家发现无人值守的在线安装确实不靠谱,安装了一天也没成功。
    下载离线安装包建议用迅雷,速度还可以

    sudu.jpg


    所以改为离线安装
    离线安装包Xilinx_Vivado_2019.2_1106_2127.tar.gz 大小为26.5G
    解压之后双击 xsetup.exe

    huanying2.png huanying3.png

    出现如下界面 之后的操作跟在线安装差不多,确定之后等待安装就是了。

    anzhuang2.png


    大概一个小时左右,中间有提示是否安装设备软件,终于安装完成了。

    wanchegn.png
    tt.png

    其中 Vivado HLS(High Level Synthesis)主要应用于高层次综合领域,可以将C语言转换成verilog代码,适合编写算法,但是要有硬件思想,它有效地拉近了软件工程师与 FPGA 之间的距离,加速了算法开发的进程,缩短了产品上市时间。
    DocNav(Documentation Navigator)可以看作是Xilinx的文档、视频“集装箱”,几乎所有与芯片、开发工具、设计方法、IP等相关的文档和视频都可以在这里找到,更重要的是它提供了一些快速查找资料的方法。

    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条

    手机版|小黑屋|与非网

    GMT+8, 2024-4-18 08:43 , Processed in 0.114935 second(s), 16 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2020, Tencent Cloud.