查看: 1942|回复: 1

【赚周年币】技术贴Week 3-Day2---Arduino红外遥控实验

[复制链接]
  • TA的每日心情
    难过
    2021-2-27 22:16
  • 签到天数: 1568 天

    连续签到: 1 天

    [LV.Master]伴坛终老

    发表于 2017-1-13 21:51:45 | 显示全部楼层 |阅读模式
    分享到:
    本帖最后由 feixiang20 于 2017-1-13 21:55 编辑

    红外遥控简介

    红外遥控是一种无线、非接触控制技术,具有抗干扰能力强,信息传输可靠,功耗低,成本低,易实现等显著优点,被诸多电子设备特别是家用电器广泛采用,并越来越多的应用到计算机系统中。
    由于红外线遥控不具有像无线电遥控那样穿过障碍物去控制被控对象的能力,所以,在设计红外线遥控器时,不必要像无线电遥控器那样,每套(发射器和接收器)要有不同的遥控频率或编码(否则,就会隔墙控制或干扰邻居的家用电器),所以同类产品的红外线遥控器,可以有相同的遥控频率或编码,而不会出现遥控信号“串门”的情况。这对于大批量生产以及在家用电器上普及红外线遥控提供了极大的方面。由于红外线为不可见光,因此对环境影响很小,再由红外光波动波长远小于无线电波的波长,所以红外线遥控不会影响其他家用电器,也不会影响临近的无线电设备。
    红外遥控的编码目前广泛使用的是:NEC Protocol 的PWM(脉冲宽度调制)和Philips
    RC-5 Protocol 的PPM(脉冲位置调制)。ALIENTEK战舰STM32开发板配套的遥控器使用的是NEC协议,其特征如下:
    1、8位地址和8位指令长度;
    2、地址和命令2次传输(确保可靠性)
    3、PWM脉冲位置调制,以发射红外载波的占空比代表“0”和“1”;
    4、载波频率为38Khz;
    5、位时间为1.125ms或2.25ms;
    NEC码的位定义:一个脉冲对应560us的连续载波,一个逻辑1传输需要2.25ms(560us脉冲+1680us低电平),一个逻辑0的传输需要1.125ms(560us脉冲+560us低电平)。而遥控接收头在收到脉冲的时候为低电平,在没有脉冲的时候为高电平,这样,我们在接收头端收到的信号为:逻辑1应该是560us低+1680us高,逻辑0应该是560us低+560us高。
    NEC遥控指令的数据格式为:同步码头、地址码、地址反码、控制码、控制反码。同步码由一个9ms的低电平和一个4.5ms的高电平组成,地址码、地址反码、控制码、控制反码均是8位数据格式。按照低位在前,高位在后的顺序发送。采用反码是为了增加传输的可靠性(可用于校验)。


    硬件设计

    本实验采用定时器的输入捕获功能实现红外解码,本章实验功能简介:开机在LCD上显示一些信息之后,即进入等待红外触发,如过接收到正确的红外信号,则解码,并在LCD上显示键值和所代表的意义,以及按键次数等信息。同样我们也是用LED0来指示程序正在运行。
    所要用到的硬件资源如下:
    1)  指示灯DS0
    2) TFTLCD模块(带触摸屏)
    3)  红外接收头
    4)  红外遥控器

    软件设计

    打开上一章的工程,首先在HARDWARE文件夹下新建一个REMOTE的文件夹。然后新建一个remote.c和remote.h的文件保存在REMOTE文件夹下,并将这个文件夹加入头文件包含路径。
    打开remote.c文件,输入如下代码:
    #include "remote.h"
    #include "delay.h"
    #include "usart.h"
    //红外遥控初始化
    //设置IO以及定时器4的输入捕获
    void Remote_Init(void)                     
    {                                                               
           RCC->APB1ENR|=1<<2;          //TIM4 时钟使能
           RCC->APB2ENR|=1<<3;         //使能PORTB时钟
           GPIOB->CRH&=0XFFFFFF0F;   //PB9 输入
           GPIOB->CRH|=0X00000080;      //上拉输入   
           GPIOB->ODR|=1<<9;          //PB9 上拉
           TIM4->ARR=10000;           //设定计数器自动重装值 最大10ms溢出
           TIM4->SC=71;                 //预分频器,1M的计数频率,1us加1.  
           TIM4->CCMR2|=1<<8;        //CC4S=01     选择输入端 IC4映射到TI4上
          TIM4->CCMR2|=3<<12;     //IC4F=0011 配置输入滤波器 8个定时器时钟周期滤波
          TIM4->CCMR2|=0<<10;     //IC4PS=00    配置输入分频,不分频
           TIM4->CCER|=0<<13;        //CC4P=0       上升沿捕获
           TIM4->CCER|=1<<12;        //CC4E=1      允许捕获计数器的值到捕获寄存器中
           TIM4->DIER|=1<<4;         //允许CC4IE捕获中断                     
           TIM4->DIER|=1<<0;         //允许更新中断                        
           TIM4->CR1|=0x01;           //使能定时器4
          MY_NVIC_Init(1,3,TIM4_IRQChannel,2);//抢占1,子优先级3,组2                       
    }
    //遥控器接收状态
    //[7]:收到了引导码标志
    //[6]:得到了一个按键的所有信息
    //[5]:保留      
    //[4]:标记上升沿是否已经被捕获                                                         
    //[3:0]:溢出计时器
    u8   RmtSta=0;              
    u16 Dval;                     //下降沿时计数器的值
    u32 RmtRec=0;      //红外接收到的数据                     
    u8  RmtCnt=0;     //按键按下的次数   
    //定时器2中断服务程序   
    void TIM4_IRQHandler(void)
    {                        
           u16 tsr;
           tsr=TIM4->SR;
           if(tsr&0X01)//溢出
           {
                  if(RmtSta&0x80)//上次有数据被接收到了
                  {   
                         RmtSta&=~0X10;                              //取消上升沿已经被捕获标记
                         if((RmtSta&0X0F)==0X00)RmtSta|=1<<6;
    //标记已经完成一次按键的键值信息采集
                         if((RmtSta&0X0F)<14)RmtSta++;
                         else
                         {
                                RmtSta&=~(1<<7);//清空引导标识
                                RmtSta&=0XF0;    //清空计数器
                         }                                                
                  }                                                  
           }
           if(tsr&0x10)//CC4IE中断
           {      
                  if(RDATA)//上升沿捕获
                  {
                        TIM4->CCER|=1<<13;                      //CC4P=1       设置为下降沿捕获
                         TIM4->CNT=0;                                 //清空定时器值
                         RmtSta|=0X10;                                  //标记上升沿已经被捕获
                  }else //下降沿捕获
                  {
                         Dval=TIM4->CCR4;                           //读取CCR1也可以清CC1IF标志位
                        TIM4->CCER&=~(1<<13);                //CC4P=0       设置为上升沿捕获
                         if(RmtSta&0X10)                              //完成一次高电平捕获
                         {
                               if(RmtSta&0X80)//接收到了引导码
                                {

                                       if(Dval>300&&Dval<800)                  //560为标准值,560us
                                       {
                                              RmtRec<<=1; //左移一位.
                                              RmtRec|=0;    //接收到0        
                                       }else if(Dval>1400&&Dval<1800)      //1680为标准值,1680us
                                       {
                                              RmtRec<<=1; //左移一位.
                                              RmtRec|=1;    //接收到1
                                       }else if(Dval>2200&&Dval<2600)     
    //得到按键键值增加的信息 2500为标准值2.5ms
                                       {
                                              RmtCnt++;           //按键次数增加1次
                                              RmtSta&=0XF0;    //清空计时器        
                                       }
                               }else if(Dval>4200&&Dval<4700)//4500为标准值4.5ms
                                {
                                       RmtSta|=1<<7;      //标记成功接收到了引导码
                                       RmtCnt=0;            //清除按键次数计数器
                                }                                       
                         }
                         RmtSta&=~(1<<4);
                  }                                                                                          
           }
           TIM4->SR=0;//清除中断标志位     
    }
    //处理红外键盘
    //返回值:
    //     0,没有任何按键按下
    //其他,按下的按键键值.
    u8 Remote_Scan(void)
    {      
           u8 sta=0;      
        u8 t1,t2;
           if(RmtSta&(1<<6))//得到一个按键的所有信息了
           {
               t1=RmtRec>>24;                  //得到地址码
               t2=(RmtRec>>16)&0xff;      //得到地址反码
              if((t1==(u8)~t2)&&t1==REMOTE_ID)//检验遥控识别码(ID)及地址
               {
                   t1=RmtRec>>8;
                   t2=RmtRec;  
                   if(t1==(u8)~t2)sta=t1;//键值正确
                  }  
                  if((sta==0)||((RmtSta&0X80)==0))//按键数据错误/遥控已经没有按下了
                  {
                        RmtSta&=~(1<<6);//清除接收到有效按键标识
                         RmtCnt=0;            //清除按键次数计数器
                  }
           }
        return sta;
    }
    该部分代码包含3个函数,首先是Remote_Init函数,该函数用于初始化IO口,并配置TIM4_CH4为输入捕获,并设置其相关参数。TIM4_IRQHandler函数是TIM4的中断服务函数,在该函数里面,实现对红外信号的高电平脉冲的捕获,同时根据我们之前简介的协议内容来解码 ,该函数用到几个全局变量,用于辅助解码,并存储解码结果。最后是Remote_Scan函数,该函用来扫描解码结果,相当于我们的按键扫描,输入捕获解码的红外数据,通过该函数传送给其他程序。
    保存remote.c,然后把该文件加入HARDWARE组下。接下来打开remote.h在该文件里面加入如下代码:
    #ifndef __RED_H
    #define __RED_H
    #include "sys.h"  
    #define RDATA PBin(9) //红外数据输入脚
    //红外遥控识别码(ID),每款遥控器的该值基本都不一样,但也有一样的.
    //我们选用的遥控器识别码为0
    #define REMOTE_ID 0                     
    extern u8 RmtCnt;         //按键按下的次数
    void Remote_Init(void); //红外传感器接收头引脚初始化
    u8 Remote_Scan(void);     
    #endif
    这里的REMOTE_ID就是我们开发板配套的遥控器的识别码,对于其他遥控器可能不一样,只要修改这个为你所使用的遥控器的一致就可以了。其他是一些函数的声明,我们保存此部分代码,然后在test.c里面修改主函数如下:
    int main(void)
    {           
           u8 key;
           u8 t=0;   
           u8 *str=0;
          Stm32_Clock_Init(9);    //系统时钟设置
           uart_init(72,9600);      //串口初始化为9600
           delay_init(72);                  //延时初始化
           LED_Init();                 //初始化与LED连接的硬件接口
           LCD_Init();                  //初始化LCD
           usmart_dev.init(72);      //初始化USMART      
           Remote_Init();                     //红外接收初始化        
          POINT_COLOR=RED;//设置字体为红色
           LCD_ShowString(60,50,200,16,16,"WarShip STM32");   
           LCD_ShowString(60,70,200,16,16,"REMOTE TEST");   
           LCD_ShowString(60,90,200,16,16,"ATOM@ALIENTEK");
           LCD_ShowString(60,110,200,16,16,"2012/9/12");
         LCD_ShowString(60,130,200,16,16,"KEYVAL:");   
         LCD_ShowString(60,150,200,16,16,"KEYCNT:");   
         LCD_ShowString(60,170,200,16,16,"SYMBOL:");     
           while(1)
           {
                  key=Remote_Scan();   
                  if(key)
                  {   
                         LCD_ShowNum(116,130,key,3,16);            //显示键值
                         LCD_ShowNum(116,150,RmtCnt,3,16);      //显示按键次数            
                         switch(key)
                         {
                                case 0:str="ERROR";break;                  
                                case 162:str="POWER";break;         
                                case 98:str="UP";break;   
                                case 2:str="PLAY";break;            
                                case 226:str="ALIENTEK";break;         
                                case 194:str="RIGHT";break;         
                                case 34:str="LEFT";break;            
                                case 224:str="VOL-";break;           
                                case 168:str="DOWN";break;               
                                case 144:str="VOL+";break;            
                                case 104:str="1";break;         
                                case 152:str="2";break;   
                                case 176:str="3";break;     
                                case 48:str="4";break;              
                                case 24:str="5";break;              
                                case 122:str="6";break;         
                                case 16:str="7";break;                                                  
                                case 56:str="8";break;   
                                case 90:str="9";break;
                                case 66:str="0";break;
                                case 82:str="DELETE";break;            
                         }
                         LCD_Fill(116,170,116+8*8,170+16,WHITE);     //清除之前的显示
                         LCD_ShowString(116,170,200,16,16,str);          //显示SYMBOL
                  }else delay_ms(10);        
                  t++;
                  if(t==20)
                  {
                         t=0;
                         LED0=!LED0;
                  }
           }
    }
    至此,我们的软件设计部分就结束了。


    评分

    参与人数 2 +30 收起 理由
    EEboard爱板网 + 15 3周发帖养成记 奖励
    loveeeboard + 15

    查看全部评分

    回复

    使用道具 举报

  • TA的每日心情
    奋斗
    2023-5-10 20:09
  • 签到天数: 1742 天

    连续签到: 1 天

    [LV.Master]伴坛终老

    发表于 2017-1-14 10:46:42 | 显示全部楼层
    感谢分享!
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-27 12:53 , Processed in 0.126907 second(s), 21 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.