查看: 1348|回复: 0

米尔MYD-C7Z020开发板试用11 -- vivado创建串口项目

[复制链接]
  • TA的每日心情
    开心
    昨天 15:43
  • 签到天数: 1055 天

    连续签到: 2 天

    [LV.10]以坛为家III

    发表于 2019-12-13 11:14:52 | 显示全部楼层 |阅读模式
    分享到:
       
        第一次使用Vivado软件来创建C7Z020开发板的项目文件, 按照教程的步骤,来操作项目文件,创建过程的过程如下。整个过程参考Hello World例程。


        开发软件:Vivado 2017.4
       
        一、创建项目
       
        1.1、打开vivado软件,新建工程文件
        01.png
       
        NEXT
        02.png
       
        创建的项目文件名和项目保存的位置
        NEXT
        03.png

        NEXT
        04.png
        05.png
        06.png
      
        选择芯片型号
        07.png

        完成创建
        08.png
        09.png

        1.2、创建Block Design
        10.png
       
        文件名称默认
        11.png

        添加IP核
        12.png
       
        双击"+",输入ZYNQ现在列表项
        13.png
          
        ZYNQ核如下
        14.png

        双击核进入配置文件
        15.png

        选择要导入的配置文件,这个文件是从Hello Worldl例程里面复制出来的。
        17.png

        配置完成,运行 Run Block Automation进行自动连线
        18.png
      
        点击OK
        19.png
       
        自动连线完成如下
        20.png

        1.3、生成综合文件
        21.png
        22.png
        23.png


        1.4、生成FPGA顶层文件
        24.png
        25.png

        1.5、生成bit文件
        26.png
        27.png
        28.png

        点击cancel
        30.png


        1.6、导出硬件配置文件
        29.png

        31.png

        1.7、启动SDK,新建fsbl
        32.png
        33.png
        工程名:fsbl
        34.png
        35.png
        选择zynq fsbl
        36.png
        生成的fsbl
        37.png    
       
        1.8、新建uart_printf工程文件
        38.png
        工程名称
        39.png
        新建项目模板
        40.png
        修改项目源文件
        41.png

        1.9、生成BOOT.bin文件
        42.png
        43.png
        生成的BOOT.bin文件
        44.png


        创建项目过程结束,整个操作步骤还是比较多大,感觉还是有些复杂的。下面在板卡上运行。


        二、程序运行


        2.1、复制文件BOOT.bin到TF卡。
        2.2、将板卡设置为TF卡启动模式,JP2短接,JP3断开。
        2.3、运行情况如下:
        45.png


        串口不停输出信息。


       



    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    手机版|小黑屋|与非网

    GMT+8, 2024-4-24 02:10 , Processed in 0.130887 second(s), 16 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.