查看: 867|回复: 0

Micropython TPYBoard v102 温湿度短信通知器(基于SIM900A模块)

[复制链接]
  • TA的每日心情
    慵懒
    2018-1-6 09:01
  • 签到天数: 7 天

    连续签到: 1 天

    [LV.3]偶尔看看II

    发表于 2019-8-6 14:02:47 | 显示全部楼层 |阅读模式
    分享到:
    前言

    前段时间看了追龙2,感受就是如果你是冲着追龙1来看追龙2的话,劝你还是不要看了,因为追龙2跟追龙1压根没什么联系,给我的感觉就像是看拆弹专家似的,估计追龙2这个名字就是随便蹭蹭追龙1的热度来的。不过里面有一段剧情倒是引起了我的兴趣,就是古天乐一开始拆电话引爆炸弹那一段。于是引发了我的一些想法,我手上正好有一块SIM900A模块,不如拿来玩玩啊。那我就做一个温湿度短信通知器吧。就是发条指定命令的短信给SIM900A模块,然后TPYBoard v102采集温湿度信息再通过短信的方式反馈回去。

    实验目的

    1.学习在PC机系统中扩展简单I/O 接口的方法。

    2.进一步学习编制数据输出程序的设计方法。

    3.学习TPYBoard v102控制SIM900A模块收发短信。


    所需元器件

    TPYBoard v102板子 1块

    数据线 1条

    杜邦线 若干

    DHT11温湿度模块 1个

    SIM900A模块 1个


    什么是SIM900A


    0.png
    1、全新、高性价比 SIM900A GSM GPRS 开发板,板载的SIM900A模块为全新原装64M版本,带彩信功能,绝非32M的老版本。
    2、SIM900A模块板载SIMCOM公司的工业级双频GSM/GPRS模块:SIM900A,工作频段双频:900/1800Mhz,可以低功耗实现语音、SMS(短信,彩信)、数据和传真信息的传输。
    3、SIM900A模块支持RS232串口和LVTTL串口,并带硬件流控制,支持5V~24V的超宽工作范围,使得本模块可以非常方便的与您的产品进行连接,从而给您的产品提供包括语音、短信和GPRS数据传输等功能。
    4、此模块供电要求:5V 供电 ,电脑调试初期电脑USB供电可以满足要求。因为我们加了1000UF的大电容。长时间传输数据用电量大推荐1A以上的直流,TTL电平串口自适应兼容3.3V和5V单片机。可以直接连接单片机。待机在80MA左右,可以设置休眠状态在10MA左右低功耗。电脑调试USB--232和USB--TTL均可,根据各人配件而定。支持短信,数据,彩信,上网等。复位排针引出,可实现现场无人值守远程复位,带DTMF功能实现远程遥控功能。
    5、模块最大的优点是保留232口,在学习或者开发时可以监听51低端单片机和模块指令执行情况,能更快的找出原因,节省开发和学习的时间。



    使用说明

    1.SIM900A模块需要单独外部供电,供电电压5V,电流1A。提供的用户手册中说调试初期短时间内电脑USB供电也可以。一开始用TTL模块(CH340)调试,发送AT指令模块无返回,TTL模块是可用的,不知道啥原因,手册说USB转TTL模块最好用CP2012芯片的。有条件的话调试时最好用RS232接口。我这里用板子写了一个串口中间转发的程序才解决掉调试问题的。

    2.给SIM900A模块上电前,请将SIM卡正确放入卡槽,接上GSM天线。通电后,可以通过观察D5和D6的工作状态来大致判断模块的工作状态。具体内容详见资料下载中的【12,调试教程 必看资料/全球鹰sim900 V3.8.1用户手册.pdf】。

    3.SIM900A模块支持移动、联通2G网络,不支持电信。

    4.模块上的5VR和5VT串口兼容3.3V和5V的TTL电平,如果你的单片机的TTL电平电压为3.3V时,可将单片机的TXD连接到模块上的5VR,RXD连接5VT,单片机的GND连接到模块的GND,模块上的VCC_MCU接到直流3.3V上。
    同理若是5V电平,则VCC_MCU接到5V上。

    以上说明在用户手册中都能找到,大家在使用时仔细阅读手册基本上遇到的问题都能解决。


    接线方式

    TPYBoard v102 --> SIM900A
    ---------------------------------
    Y1(UART6-TX)  --> 5VR
    Y2(UART6-RX)  --> 5VT
    3V3           --> Vcc_mcu
    GND           --> GND


    TPYBoard v102 --> DHT11
    ---------------------------------
    VIN           --> VCC(+)
    Y12           --> DATA(out)
    GND           --> GND(-)


    程序调试


    1、程序一开始运行会进行一些初始化配置,比如获取信号质量、运营商等;
    2、当PuTTY输出等待新短信到来,板载LED2亮起,说明初始化完毕;
    1.png
    3、手机发送短信给模块上安装的SIM卡号码,内容为tpyboard;
    2.png
    4、接收到新短信后LED3亮起,读取内容判断是否存在tpyboard;
    5、存的话,开始采集DHT11模块的温湿度信息,编辑短信发送出去;
    3.png
    6、这时LED4亮起,手机就会收到带有温湿度信息的短信了。

    4.png











    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-26 02:49 , Processed in 0.110044 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.